- ISBN: 9781558997196 | 1558997199
- Cover: Hardcover
- Copyright: 12/1/2002
3D-integration : trends and opportunities - an overview | p. 3 |
Recent advances for nano interconnects : conductor reliability and resistivity | p. 13 |
Interconnect issues for integrated MEMS technology | p. 21 |
3D wafer stacking technology | p. 29 |
3D interconnects using a Cu wafer bonding : technology and applications | p. 37 |
Processing of inter-wafer vertical interconnects in 3D ICs | p. 45 |
Face-to-face chip integration with full metal interface | p. 53 |
Application of a global-local random-walk algorithm for thermal analysis of 3D integrated circuits | p. 59 |
Wire bonding failure mechanisms and simulations of Cu low-k IMD chip packaging | p. 67 |
Time-modulated Cu-plating technique for fabricating high-aspect-ratio vias for three-dimensional stacked LSI system | p. 75 |
Cu CMP using fixed abrasive (FX-CMP) for damascene interconnection | p. 83 |
A robust CMP process for sub-0.13 [mu]m copper/low-k interconnects | p. 89 |
Galvanic corrosion testing of WC[subscript x]N[subscript y] barrier metal in H[subscript 2]O[subscript 2] based slurries | p. 95 |
Investigation of oxidizer in liner slurry on copper chemical-mechanical polishing for advanced BEOL interconnections | p. 103 |
An integrated multiscale mechanical model for chemical mechanical planarization | p. 109 |
Fundamental study of low friction Cu abrasive-free polishing | p. 115 |
Cu-CMP corrosion control technique using gas dissolved water | p. 121 |
Interface reliability of high performance interconnects | p. 127 |
Scaling rule for electromigration in Cu dual-damascene interconnects on W | p. 139 |
Fundamentals and improvements of line-to-line breakdown reliability in copper damascene process | p. 147 |
Voiding in Cu dual damascene metallization due to Cu densification during thermal stress | p. 155 |
Geometrical aspects of stress-induced voiding in copper interconnects | p. 161 |
The effect of line length on the electromigration reliability of Cu interconnects | p. 169 |
Evaluation of interface adhesion of thin film layers by four-point bending test | p. 177 |
Yield study of Cu/black diamond dual damascene interconnects using burn-in | p. 185 |
Resistance degradation profile in electromigration of dual-damascene Cu interconnects | p. 191 |
The numerical analysis of copper transport in SiO[subscript 2] during bias temperature stress test | p. 197 |
Comparison of copper interconnect electromigration behaviors in various low-k materials for 0.13 [mu]m technology | p. 203 |
Adhesion evaluation by nanoscratch method in stacked thin film structures | p. 209 |
3D coupled simulation of electromigration in copper-low-k multilevel interconnect | p. 215 |
Thermal induced failure of organic low-k/Cu multilevel interconnect | p. 221 |
A study of via bottom profile on via failure in multi-level Cu interconnection | p. 227 |
Electromigration reliability study of self-ionized plasma barriers for dual damascene Cu metallization | p. 233 |
A comparison of CVD TiN(Si) and PVD Ta metal barriers using a novel metal barrier reliability test structure | p. 239 |
Influence of sample preparation on interfacial adhesion energy using the four-point bend technique | p. 243 |
Electromigration characteristics and characterization of multilevel Cu interconnects | p. 249 |
Time-dependent dielectric-constant increase - novel criteria for low-k materials reliability | p. 259 |
Influence of metal electrodes on leakage current in MSQ films with or without pores | p. 265 |
Characterization of porous low-k dielectrics by gas adsorption techniques | p. 273 |
Off- and on-time dependences of electromigration MTF in pulsed DC stressing tests | p. 279 |
Extraction of interconnect-length-distribution parameters from CAD data | p. 285 |
Derivation of film characteristics and prediction of electromigration failure in passivated polycrystalline line | p. 291 |
Transmission line interconnect structure in Si ULSI | p. 297 |
Reliability of copper inlaid structures - geometry and microstructure effects | p. 305 |
Impact of post ECD anneal on copper layer properties | p. 313 |
Grain formation during polycrystalline thin film growth | p. 321 |
Cobalt alloy thin films for encapsulation of copper | p. 329 |
Electrodeposition of copper-tin alloy films for enhancement of electromigration and stress migration resistance in ULSI era | p. 337 |
Resistivity of ultra-narrow Cu interconnects fabricated with electron beam lithography | p. 345 |
Advanced electroless Ag-W thin films for ULSI metallization | p. 349 |
Deposition of platinum thin films by MOCVD using a direct liquid injection system | p. 355 |
Effects of the leveler component on gap filling profiles for the copper damascene electroplating process | p. 361 |
Pattern density effects on film profile evolution during ECD | p. 367 |
Overcoming terminal effects during electrochemical deposition of copper films for 300 mm damascene interconnect applications | p. 373 |
Electrochemical deposition and characterization of high performance CuAg-thin film metallizations for interconnects and SAW-electrodes | p. 379 |
Enhancement of contact filling characteristics in CVD-Al metallization with plasma-treated MOCVD-TiN wetting layer | p. 385 |
Surface and grain boundary scattering : a modeling study of the electrical resistivity in sub-50 nm copper lines | p. 391 |
Microstructure of Cu damascene nano-interconnects | p. 397 |
Copper seed layer sealing for advanced interconnects : extendibility of I-PVD | p. 403 |
Chemical fluid deposition of copper films | p. 409 |
Effects of underlying dielectrics on properties and reliability of Cu-based metallization system | p. 415 |
Bulk CVD tungsten and pulsed nucleation layer tungsten deposition methods and the impact on film conformality, crystallography, microstructure and chemical mechanical planarization removal rate of tungsten | p. 421 |
Selective silicidation of cobalt using SiH[subscript 4], and Si[subscript 2]H[subscript 6] for Cu metallization | p. 427 |
Integration of CVD Cu seed with CVD Cu barrier for dual damascene Cu metallization | p. 433 |
The effects of chlorine in contact resistance of TiSi[subscript 2] films deposited by PECYD-Ti in tungsten bit-line stud process | p. 439 |
Al-CVD technology using MPA [methylpyrrolidine alane] | p. 445 |
Sequential flow deposition (SFD) of W nucleation layer using Si[subscript 2]H[subscript 6], B[subscript 2]H[subscript 6] and SiH[subscript 4] reduction gases for W plug | p. 451 |
In situ cleaning solution to high via resistance issue on floating metal pattern | p. 457 |
Copper deposition characteristics from a supercritical CO[subscript 2] fluid | p. 463 |
Productization of Cu/low-k at the 130 and 90 nm technology nodes | p. 471 |
0.13 [mu]m generation integration and manufacturing of dual damascene copper in FSG | p. 485 |
Robust low-k SiOC integration in Cu dual damascene interconnect for 90 nm node SoC technology | p. 493 |
A study on the integration of organosilicate glasses for advanced copper/low-k interconnections | p. 501 |
Modified silica xerogel as a low-k dielectric with improved mechanical properties | p. 507 |
Demonstration of dual damascene 0.18 [mu]m Cu/black diamond integration | p. 513 |
Dielectric bottom anti-reflective coatings for the patterning of organosilicate dual damascene structures | p. 519 |
Process challenges for integrating copper with SiLK dielectric | p. 525 |
A sacrificial Al-seed Cu-plating technology for Cu line-pillar/STP scheme | p. 531 |
An imprint-damascene process for Cu/low-k interconnects | p. 537 |
Influence of Si substrate ground on antenna transmission gain for on-chip wireless interconnects | p. 543 |
Fluorocarbon etching of porous silicon dioxide : plasma chemistry and surface kinetics | p. 551 |
A new method of cleaning Cu/organic low-k interconnect | p. 557 |
A novel ultra tough organic low-k film with a highly cross-linked low density structure | p. 563 |
Determining dielectric constant variation of SiOC low-k film using density measurement | p. 569 |
Preparation of damascene trench sidewalls in CVD nano-porous ultra low-k (k = 2.2) films for compatibility with MOCVD diffusion barriers | p. 575 |
High-k MIM capacitor using ZrO[subscript 2] for RF application | p. 583 |
Improvement of film properties by plasma modification of a porous low-k organosilicate glass material | p. 589 |
A new method for in-line measurement of the dielectric constant of low-k films | p. 595 |
Effects of supercritical processing on ultra low-k films | p. 601 |
Processing of ultra-low-k xerogel composite films | p. 607 |
Experimental determination of the effective relative permittivity of copper/low-e[subscript r] dual damascene integrated structures | p. 613 |
Study of process impact on low-k dielectric in copper dual damascene interconnect process | p. 619 |
Integratibility evaluation of ultra-low-k dielectric material for 65 nm technology | p. 625 |
Integration of plasma deposited CF polymer in a copper/low-k damascene architecture | p. 629 |
How to eliminate voiding in porous low-k dielectrics and the mechanism of void formation | p. 637 |
Dielectric constant and Young's modulus of organic low-k materials calculated by molecular orbital method | p. 643 |
A novel porous silica film for Cu/low-k multilevel interconnects | p. 649 |
Effects of stress controlled by SiF[subscript 4] flow rate and temperature on HDP-FSG/PE-SiN interface delamination in 64-bit RISC microprocessor | p. 655 |
STP with low-k polymer SiLK resin | p. 661 |
Organometallic Hf and Si precursors for Hf[subscript 1-x]Si[subscript x]O[subscript 2] thin film formation | p. 665 |
Curing process window for MSQ based low-k dielectrics | p. 671 |
Quantum chemical study on dielectric constants of siloxane and its derivatives | p. 677 |
Atomic-layer deposition processes for nanoscale copper metallization | p. 685 |
Atomic layer deposited aluminum oxide (Al[subscript 2]O[subscript 3]) : a promising dielectric for metal insulator metal capacitors (MIMCAPS) | p. 693 |
Electrical and physical characterization of atomic layer deposited thin films for copper barrier applications | p. 701 |
Improving pulse protocols in atomic layer deposition | p. 709 |
Table of Contents provided by Blackwell. All Rights Reserved. |
The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.
The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.
Digital License
You are licensing a digital product for a set duration. Durations are set forth in the product description, with "Lifetime" typically meaning five (5) years of online access and permanent download to a supported device. All licenses are non-transferable.
More details can be found here.